Attack detection based on machine learning algorithms for different variants of Spectre attacks and different Meltdown attack implementations

08/30/2022
by   Zhongkai Tong, et al.
0

To improve the overall performance of processors, computer architects use various performance optimization techniques in modern processors, such as speculative execution, branch prediction, and chaotic execution. Both now and in the future, these optimization techniques are critical for improving the execution speed of processor instructions. However, researchers have discovered that these techniques introduce hidden inherent security flaws, such as meltdown and ghost attacks in recent years. They exploit techniques such as chaotic execution or speculative execution combined with cache-based side-channel attacks to leak protected data. The impact of these vulnerabilities is enormous because they are prevalent in existing or future processors. However, until today, meltdown and ghost have not been effectively addressed, but instead, multiple attack variants and different attack implementations have evolved from them. This paper proposes to optimize four different hardware performance events through feature selection and use machine learning algorithms to build a real-time detection mechanism for Spectre v1,v2,v4, and different implementations of meltdown attacks, ultimately achieving an accuracy rate of over 99%. In order to verify the practicality of the attack detection model, this paper is tested with a variety of benign programs and different implementations of Spectre attacks different from the modeling process, and the absolute accuracy also exceeds 99%, showing that this paper can cope with different attack variants and different implementations of the same attack that may occur daily.

READ FULL TEXT

page 1

page 4

page 6

research
06/02/2020

Real time Detection of Spectre and Meltdown Attacks Using Machine Learning

Recently discovered Spectre and meltdown attacks affects almost all proc...
research
02/19/2023

Comprehensive Evaluation of RSB and Spectre Vulnerability on Modern Processors

Performance-enhancing mechanisms such as branch prediction, out-of-order...
research
07/28/2018

A Survey of Cyber Security Countermeasures Using Hardware Performance Counters

Cyber attacks and malware are now more prevalent than ever and the trend...
research
08/04/2021

An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors

Hardware (HW) security issues have been emerging at an alarming rate in ...
research
07/31/2020

BasicBlocker: Redesigning ISAs to Eliminate Speculative-Execution Attacks

Recent research has revealed an ever-growing class of microarchitectural...
research
02/11/2018

MeltdownPrime and SpectrePrime: Automatically-Synthesized Attacks Exploiting Invalidation-Based Coherence Protocols

The recent Meltdown and Spectre attacks highlight the importance of auto...
research
02/20/2018

Attack Strength vs. Detectability Dilemma in Adversarial Machine Learning

As the prevalence and everyday use of machine learning algorithms, along...

Please sign up or login with your details

Forgot password? Click here to reset