InterLock: An Intercorrelated Logic and Routing Locking

09/04/2020
by   Hadi Mardani Kamali, et al.
0

In this paper, we propose a canonical prune-and-SAT (CP SAT) attack for breaking state-of-the-art routing-based obfuscation techniques. In the CP SAT attack, we first encode the key-programmable routing blocks (keyRBs) based on an efficient SAT encoding mechanism suited for detailed routing constraints, and then efficiently re-encode and reduce the CNF corresponded to the keyRB using a bounded variable addition (BVA) algorithm. In the CP SAT attack, this is done before subjecting the circuit to the SAT attack. We illustrate that this encoding and BVA-based pre-processing significantly reduces the size of the CNF corresponded to the routing-based obfuscated circuit, in the result of which we observe 100 obfuscation techniques. Further, we propose a new intercorrelated logic and routing locking technique, or in short InterLock, as a countermeasure to mitigate the CP SAT attack. In Interlock, in addition to hiding the connectivity, a part of the logic (gates) in the selected timing paths are also implemented in the keyRB(s). We illustrate that when the logic gates are twisted with keyRBs, the BVA could not provide any advantage as a pre-processing step. Our experimental results show that, by using InterLock, with only three 8×8 or only two 16x16 keyRBs (twisted with actual logic gates), the resilience against existing attacks as well as our new proposed CP SAT attack would be guaranteed while, on average, the delay/area overhead is less than 10

READ FULL TEXT

page 1

page 2

page 3

page 4

research
11/13/2021

UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction

Logic locking aims to prevent intellectual property (IP) piracy and unau...
research
01/07/2021

Robust and Attack Resilient Logic Locking with a High Application-Level Impact

Logic locking is a hardware security technique to intellectual property ...
research
04/24/2018

SRCLock: SAT-Resistant Cyclic Logic Locking for Protecting the Hardware

In this paper, we claim that cyclic obfuscation, when properly implement...
research
01/23/2020

SAT-hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain

State-of-the-art attacks against cyclic logic obfuscation use satisfiabi...
research
09/21/2020

Modeling Techniques for Logic Locking

Logic locking is a method to prevent intellectual property (IP) piracy. ...
research
05/04/2020

Determining the Multiplicative Complexity of Boolean Functions using SAT

We present a constructive SAT-based algorithm to determine the multiplic...
research
04/30/2018

LUT-Lock: A Novel LUT-based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection

In this work, we propose LUT-Lock, a novel Look-Up-Table-based netlist o...

Please sign up or login with your details

Forgot password? Click here to reset