Multi-FPGA Designs and Scaling of HPC Challenge Benchmarks via MPI and Circuit-Switched Inter-FPGA Networks

02/28/2022
by   Marius Meyer, et al.
0

While FPGA accelerator boards and their respective high-level design tools are maturing, there is still a lack of multi-FPGA applications, libraries, and not least, benchmarks and reference implementations towards sustained HPC usage of these devices. As in the early days of GPUs in HPC, for workloads that can reasonably be decoupled into loosely coupled working sets, multi-accelerator support can be achieved by using standard communication interfaces like MPI on the host side. However, for performance and productivity, some applications can profit from a tighter coupling of the accelerators. FPGAs offer unique opportunities here when extending the dataflow characteristics to their communication ininterfaces. In this work, we extend the HPCC FPGA benchmark suite by multi-FPGA support and three missing benchmarks that particularly characterize or stress inter-device communication: b_eff, PTRANS, and LINPACK. With all benchmarks implemented for current boards with Intel and Xilinx FPGAs, we established a baseline for multi-FPGA performance. Additionally, for the communication-centric benchmarks, we explored the potential of direct FPGA-to-FPGA communication with a circuit-switched inter-FPGA network that is currently only available for one of the boards. The evaluation with parallel execution on up to 26 FPGA boards makes use of one of the largest academic FPGA installations.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
04/23/2020

Evaluating FPGA Accelerator Performance with a Parameterized OpenCL Adaptation of the HPCChallenge Benchmark Suite

FPGAs have found increasing adoption in data center applications since a...
research
10/23/2018

High Performance Computing with FPGAs and OpenCL

In this work we evaluate the potential of FPGAs for accelerating HPC wor...
research
03/07/2023

Word-Level Structure Identification In FPGA Designs Using Cell Proximity Information

Reverse engineering of FPGA based designs from the flattened LUT level n...
research
07/18/2023

The ExaNeSt Prototype: Evaluation of Efficient HPC Communication Hardware in an ARM-based Multi-FPGA Rack

We present and evaluate the ExaNeSt Prototype, a liquid-cooled rack prot...
research
01/04/2021

High-Level FPGA Accelerator Design for Structured-Mesh-Based Explicit Numerical Solvers

This paper presents a workflow for synthesizing near-optimal FPGA implem...
research
06/13/2021

Koios: A Deep Learning Benchmark Suite for FPGA Architecture and CAD Research

With the prevalence of deep learning (DL) in many applications, research...
research
06/21/2021

Content Addressable Parallel Processors on a FPGA

In this short article, we report on the implementation of a Content Addr...

Please sign up or login with your details

Forgot password? Click here to reset